原文服务方: 大电机技术       
摘要:
可控硅触发脉冲产生电路适用于单相、三相全控桥式供电装置中.一般可控硅移相触发电路采用硬件实现.本文中利用FPGA芯片由VHDL语言编程实现,可以更准确、简便地产生可控硅移相触发所需的双窄脉冲.与硬件电路实现相比,FPGA(现场可编程门阵列)的实现不但能有效防止由于可控硅换流而引起的误控制,而且集成度高,更精确和灵活.
推荐文章
基于R-NN的可控硅励磁系统故障诊断
粗糙集
神经网络
可控硅励磁系统
故障诊断
KGLF-11型可控硅励磁装置日常故障处理
励磁电压
励磁电流
投励
移相
缺波
高压同步空压机励磁可控硅整流设备的失波保护
空压机励磁
可控硅整流
失波保护
双向可控硅不同象限触发方式可靠性探究
可控硅
触发象限
电路仿真
失效
内容分析
关键词云
关键词热度
相关文献总数  
(/次)
(/年)
文献信息
篇名 励磁系统中可控硅触发脉冲的FPGA编程实现
来源期刊 大电机技术 学科
关键词 可控硅 移相触发 FPGA VHDL
年,卷(期) 2007,(1) 所属期刊栏目 辅机及其他
研究方向 页码范围 49-51
页数 3页 分类号 TM301.2
字数 语种 中文
DOI 10.3969/j.issn.1000-3983.2007.01.013
五维指标
作者信息
序号 姓名 单位 发文数 被引次数 H指数 G指数
1 刘艳萍 河北工业大学信息学院 49 326 10.0 15.0
2 李志军 河北工业大学信息学院 61 242 9.0 13.0
3 马军 河北工业大学信息学院 14 78 5.0 8.0
4 李素玲 河北工业大学信息学院 1 5 1.0 1.0
传播情况
(/次)
(/年)
引文网络
引文网络
二级参考文献  (0)
共引文献  (0)
参考文献  (0)
节点文献
引证文献  (5)
同被引文献  (12)
二级引证文献  (24)
2007(0)
  • 参考文献(0)
  • 二级参考文献(0)
  • 引证文献(0)
  • 二级引证文献(0)
2009(1)
  • 引证文献(1)
  • 二级引证文献(0)
2010(4)
  • 引证文献(3)
  • 二级引证文献(1)
2011(1)
  • 引证文献(0)
  • 二级引证文献(1)
2012(4)
  • 引证文献(1)
  • 二级引证文献(3)
2013(4)
  • 引证文献(0)
  • 二级引证文献(4)
2014(2)
  • 引证文献(0)
  • 二级引证文献(2)
2015(3)
  • 引证文献(0)
  • 二级引证文献(3)
2016(4)
  • 引证文献(0)
  • 二级引证文献(4)
2017(1)
  • 引证文献(0)
  • 二级引证文献(1)
2018(2)
  • 引证文献(0)
  • 二级引证文献(2)
2019(3)
  • 引证文献(0)
  • 二级引证文献(3)
研究主题发展历程
节点文献
可控硅
移相触发
FPGA
VHDL
研究起点
研究来源
研究分支
研究去脉
引文网络交叉学科
相关学者/机构
期刊影响力
大电机技术
双月刊
1000-3983
23-1253/TM
大16开
1971-01-01
chi
出版文献量(篇)
2188
总下载数(次)
0
总被引数(次)
10014
论文1v1指导