原文服务方: 现代电子技术       
摘要:
介绍一种新型静态存储器--QDR(Quad Data Rate) SRAM的存储器结构、与系统的接口连接、主要的操作时序.参考实际QDR存储器内部组成,利用FPGA实现存储器控制器的设计实现.旨在通过FPGA的快速、灵活、容易修改的特点,设计并实现在高速数据通信系统中,QDR静态存储器用于处理器和接口连接的外设之间的数据交换.着重分析QDR控制器的读/写操作状态机.
推荐文章
FPGA中QDRⅡ+SRAM FIFO接口设计
QDRⅡ + SRAM
FIFO
FPGA
高速遥感图像压缩系统ZBT SRAM控制器的设计
ZBT SRAM控制器,乒乓操作,流水线设计,现场可编程门阵列,图像压缩
PCI总线控制器的VHDL设计与FPGA实现
PCI总线
设备控制器
FPGA
VHDL
基于FPGA的多串口控制器的设计与实现
FPGA
串口
逻辑控制
ISim仿真
内容分析
关键词云
关键词热度
相关文献总数  
(/次)
(/年)
文献信息
篇名 QDR SRAM控制器的设计与FPGA实现
来源期刊 现代电子技术 学科
关键词 QDR SRAM 存储 FPGA 状态机
年,卷(期) 2007,(2) 所属期刊栏目 新型元器件
研究方向 页码范围 11-12,16
页数 3页 分类号 TP332.3
字数 语种 中文
DOI 10.3969/j.issn.1004-373X.2007.02.004
五维指标
作者信息
序号 姓名 单位 发文数 被引次数 H指数 G指数
1 梅大成 49 327 11.0 17.0
2 刘耀 2 22 2.0 2.0
3 于珍珠 2 21 2.0 2.0
传播情况
(/次)
(/年)
引文网络
引文网络
二级参考文献  (0)
共引文献  (0)
参考文献  (0)
节点文献
引证文献  (13)
同被引文献  (10)
二级引证文献  (22)
2007(0)
  • 参考文献(0)
  • 二级参考文献(0)
  • 引证文献(0)
  • 二级引证文献(0)
2009(3)
  • 引证文献(3)
  • 二级引证文献(0)
2010(4)
  • 引证文献(2)
  • 二级引证文献(2)
2011(5)
  • 引证文献(0)
  • 二级引证文献(5)
2012(5)
  • 引证文献(3)
  • 二级引证文献(2)
2013(4)
  • 引证文献(1)
  • 二级引证文献(3)
2014(1)
  • 引证文献(1)
  • 二级引证文献(0)
2015(5)
  • 引证文献(2)
  • 二级引证文献(3)
2017(2)
  • 引证文献(1)
  • 二级引证文献(1)
2018(2)
  • 引证文献(0)
  • 二级引证文献(2)
2019(4)
  • 引证文献(0)
  • 二级引证文献(4)
研究主题发展历程
节点文献
QDR SRAM
存储
FPGA
状态机
研究起点
研究来源
研究分支
研究去脉
引文网络交叉学科
相关学者/机构
期刊影响力
现代电子技术
半月刊
1004-373X
61-1224/TN
大16开
1977-01-01
chi
出版文献量(篇)
23937
总下载数(次)
0
总被引数(次)
135074
  • 期刊分类
  • 期刊(年)
  • 期刊(期)
  • 期刊推荐
论文1v1指导