基本信息来源于合作网站,原文需代理用户跳转至来源网站获取       
摘要:
针对传统的异步串行接口设计方法,本文提出了通过VHDL语言在CPLD(复杂的可编程逻辑器件)上实现异步串行接口的设计方法.并通过MAXplus软件对软件程序仿真验证和硬件实测,结果表明,此电路工作正确可靠,灵活性强,能满足设计要求.
推荐文章
基于CPLD的异步串行通讯控制器的研究与设计
异步串行通信
CPLD通信控制器
时序仿真
伺服系统中多路异步串行通信接口的设计与应用
TL16C752B
单片机
CPLD
伺服控制
异步串行通信接口
通用异步串行通信接口的IP核设计
通用异步串行通信接口
IP
状态机
基于CPLD的SPI接口设计与实现
SPI接口
从机
CPLD
SPI控制
内容分析
关键词云
关键词热度
相关文献总数  
(/次)
(/年)
文献信息
篇名 基于CPLD的异步串行接口芯片设计
来源期刊 科技视界 学科 工学
关键词 复杂可编程逻辑器件 异步串行接口
年,卷(期) 2012,(2) 所属期刊栏目 IT论坛
研究方向 页码范围 90-92
页数 分类号 TP334.7
字数 2429字 语种 中文
DOI
五维指标
作者信息
序号 姓名 单位 发文数 被引次数 H指数 G指数
1 曹永银 2 0 0.0 0.0
2 王高山 37 43 4.0 6.0
传播情况
(/次)
(/年)
引文网络
引文网络
二级参考文献  (0)
共引文献  (0)
参考文献  (0)
节点文献
引证文献  (0)
同被引文献  (0)
二级引证文献  (0)
2012(0)
  • 参考文献(0)
  • 二级参考文献(0)
  • 引证文献(0)
  • 二级引证文献(0)
研究主题发展历程
节点文献
复杂可编程逻辑器件
异步串行接口
研究起点
研究来源
研究分支
研究去脉
引文网络交叉学科
相关学者/机构
期刊影响力
科技视界
旬刊
2095-2457
31-2065/N
大16开
上海市
2011
chi
出版文献量(篇)
57598
总下载数(次)
165
总被引数(次)
68345
论文1v1指导