基本信息来源于合作网站,原文需代理用户跳转至来源网站获取       
摘要:
5 nm logic process is the current leading-edge technology which is under development in world-wide leading foundries.In a typical 5 nm logic process,the Fin pitch is 22~27 nm,the contact-poly pitch(CPP)is 48?55 nm,and the minimum metal pitch(MPP)is around 30~36 nm.Due to the fact that these pitches are much smaller than the resolution capability of 193 nm immersion lithography,it is also the first generation which adopts EUV photolithography technology on a large-scale where the process flow can be simplified by single exposure method from more than 10 layers.Relentless scaling brings big challenges to process integration and pushes each process module to the physical and material limit.Therefore,the success of process development will largely depend on careful balance the pros and cons to achieve both performance and yield targets.In the paper,we discussed the advantages and disadvantages of different process approaches for key process loops for 5 nm logic process flow,including dummy poly cut versus metal gate cut approaches in the metal gate loops,self-aligned contact(SAC)versus brutally aligned contact(BAC)approaches,and also introduced the self-aligned double patterning approach in the lower metal processes.Based on the above evaluation,we will provide a recommendation for module's process development.
推荐文章
An experimental study on dynamic coupling process of alkaline feldspar dissolution and secondary min
Alkaline feldspar
Dissolution rate
Precipitation
Mineral conversion
Secondary porosity
A hydrochemical approach to estimate mountain front recharge in an aquifer system in Tamilnadu, Indi
Mountain-front recharge
Geostatistical tools
Hydrogeochemical facies
Ionic ratio
Anthropogenic processes
Geochemistry and sediment in the main stream of the Ca River basin, Vietnam: weathering process, sol
Ca River
Dissolved solids
Geochemistry
Carbonate weathering
Suspended solids
支持快速构建领域应用系统的业务过程模型研究
业务过程模型
领域应用系统
业务基础平台
内容分析
关键词云
关键词热度
相关文献总数  
(/次)
(/年)
文献信息
篇名 Key Process Approach Recommendation for 5 nm Logic Process Flow with EUV Photolithography
来源期刊 微电子制造学报 学科 教育
关键词 5nm LOGIC Process EUV metal gate cut SAC BAC SELF-ALIGNED LELE
年,卷(期) 2020,(1) 所属期刊栏目
研究方向 页码范围 17-22
页数 6页 分类号 G63
字数 语种
DOI
五维指标
传播情况
(/次)
(/年)
引文网络
引文网络
二级参考文献  (0)
共引文献  (0)
参考文献  (0)
节点文献
引证文献  (0)
同被引文献  (0)
二级引证文献  (0)
2020(0)
  • 参考文献(0)
  • 二级参考文献(0)
  • 引证文献(0)
  • 二级引证文献(0)
研究主题发展历程
节点文献
5nm
LOGIC
Process
EUV
metal
gate
cut
SAC
BAC
SELF-ALIGNED
LELE
研究起点
研究来源
研究分支
研究去脉
引文网络交叉学科
相关学者/机构
期刊影响力
微电子制造学报
季刊
2578-3769
北京市北土城西路3号中科院微电子研究所
出版文献量(篇)
47
总下载数(次)
0
总被引数(次)
0
论文1v1指导