基本信息来源于合作网站,原文需代理用户跳转至来源网站获取       
摘要:
自顶向下电路设计方法是FPGA电路设计的重要内容,和传统的电子产品设计方法有很大区别,主要是通过EDA软件对电路设计文件进行相关处理,最终实现专用集成电路的设计.本文结合移位相加型乘法器实际例子介绍了两种电路设计输入方式,纯文本输入利用硬件描述语言对底层元件和顶层文件的电路功能进行描述;文本和原理图混合输入对电路的底层元件进行硬件描述语言描述,而顶层文件则采用原理图输入方式来实现.对两种输入方式的仿真波形进行分析,论证了设计方法的正确性,说明了两种输入方式的特点.
推荐文章
基于FPGA的16位乘法器芯片的设计
FPGA
流水线
迭代算法
乘法器
基于FPGA的WALLACE TREE乘法器设计
乘法器
WALLACE
FPGA
6:4压缩器
FPGA中专用可重构乘法器的设计
FPGA
乘法器
可重构
改进的波茨算法
超前进位加法器
传输门逻辑
基于FPGA的高速流水定点乘法器的设计
高速流水定点乘法器
Virtex器件
FPGA
内容分析
关键词云
关键词热度
相关文献总数  
(/次)
(/年)
文献信息
篇名 基于FPGA的自顶向下乘法器电路设计
来源期刊 数字技术与应用 学科 工学
关键词 自顶向下 硬件描述语言 文本输入 原理图输入 乘法器
年,卷(期) 2017,(11) 所属期刊栏目 设计开发
研究方向 页码范围 165-166,170
页数 3页 分类号 TN79
字数 2488字 语种 中文
DOI
五维指标
作者信息
序号 姓名 单位 发文数 被引次数 H指数 G指数
1 孙道宗 华南农业大学电子工程学院 59 519 10.0 21.0
2 吕石磊 华南农业大学电子工程学院 8 22 3.0 4.0
3 陈楚 华南农业大学电子工程学院 7 5 2.0 2.0
4 刁寅亮 华南农业大学电子工程学院 1 0 0.0 0.0
传播情况
(/次)
(/年)
引文网络
引文网络
二级参考文献  (16)
共引文献  (46)
参考文献  (7)
节点文献
引证文献  (0)
同被引文献  (0)
二级引证文献  (0)
1996(1)
  • 参考文献(0)
  • 二级参考文献(1)
1997(1)
  • 参考文献(0)
  • 二级参考文献(1)
1998(1)
  • 参考文献(1)
  • 二级参考文献(0)
2000(1)
  • 参考文献(1)
  • 二级参考文献(0)
2002(2)
  • 参考文献(0)
  • 二级参考文献(2)
2003(1)
  • 参考文献(1)
  • 二级参考文献(0)
2005(4)
  • 参考文献(0)
  • 二级参考文献(4)
2006(2)
  • 参考文献(0)
  • 二级参考文献(2)
2007(2)
  • 参考文献(0)
  • 二级参考文献(2)
2008(4)
  • 参考文献(1)
  • 二级参考文献(3)
2009(3)
  • 参考文献(2)
  • 二级参考文献(1)
2012(1)
  • 参考文献(1)
  • 二级参考文献(0)
2017(0)
  • 参考文献(0)
  • 二级参考文献(0)
  • 引证文献(0)
  • 二级引证文献(0)
研究主题发展历程
节点文献
自顶向下
硬件描述语言
文本输入
原理图输入
乘法器
研究起点
研究来源
研究分支
研究去脉
引文网络交叉学科
相关学者/机构
期刊影响力
数字技术与应用
月刊
1007-9416
12-1369/TN
16开
天津市
6-251
1983
chi
出版文献量(篇)
20434
总下载数(次)
106
总被引数(次)
35701
论文1v1指导